カミは死んだ

Paper Is Dead ... osz

2015-07-01から1ヶ月間の記事一覧

SVA - アサーション、なにそれおいしいの?

はじめに アクセス解析によると本ブログで1つしかないSVAの記事を引っ掛けてくれる方が多いようです。というわけで今日はアサーションネタいってみます。 こんにちはアサーション アサーションって導入できたチームとできないチームが極端に分かれそうな検証…

UVM Cookbook 眺めてみた - Assigning Virtual Interfaces From The Configuration Space

はじめに UVM Cookbookを眺めてみた、の続きです。今日はTestbenchのAssigning Virtual Interfaces From The Configuration Spaceです。 Assigning Virtual Interfaces From The Configuration Space UVMのrun_test()が呼ばれる前にトップ階層の信号とDUTの…

UVM Cookbook 眺めてみた - The Test Is The Starting Point For The Build Process

はじめに UVM Cookbookを眺めてみた、の続きです。今日はTestbenchのThe Test Is The Starting Point For The Build Processです。 The Test Is The Starting Point For The Build Process UVMテストベンチのbuildプロセスはテストのクラスからトップダウン…

はてなMarkdown記法でverilogがSyntax Hilightingされるようですね。

タイトルのとおりですが、 ``` で囲いつつ、最初の```の後にverilogをつければOKのようです。 ```verilog (verilogコードをここに書きます) ``` systemverilogには対応していないので、SVコードもひとまずverilogと指定すればよいですね。 てきとうなSVコ…

UVM Cookbook 眺めてみた - TestBench/Build

はじめに UVM Cookbookを眺めてみた、の続きです。今日はTestbenchのTestBench/Buildです。 TestBench/Build UVMテストベンチの最初のフェーズはbuildだよ。 テストベンチを構成するuvm_componentはbuildフェーズでオブジェクトになるよ。 buildフェーズは階…

UVM Cookbook 眺めてみた - The Env

はじめに UVM Cookbookを眺めてみた、の続きです。今日はTestbenchのEnvの話です。 The Env Env(Environment)は、サブコンポーネントのブロックを集めたコンテナコンポーネントだよ。 Block Level Env ブロックレベルのUVMテストベンチでは、envはDUTのイン…

UVM Cookbook 眺めてみた - The Agent

はじめに UVM Cookbookを眺めてみた、の続きです。今日はTestbenchのAgentの話です。 The Agent UVMのagentはピンレベルのインタフェースを扱うuvm_componentsを集めたものだよ。 ピンレベルのトランザクションを生成したりモニタしたりするよ。 agentに関連…

UVM Cookbook 眺めてみた - Introduction, Testbench Architecture

はじめに EDAの世界では検証手法からリンターまでメソドロジーが流行っているのでしょうか。そんなメソドロジーの代表格といえばUVM。少しUVMを体系的に理解してみたいと思い立ちメンターさんのCookbookを読み始めたいと思いました。これを選ぶ理由は、昔現…

リジューム

はじめに 更新ほったらかしにして何年たったでしょうか。0.3年くらいでしょうか、あーそーですか。夏時間になって無い仕事を更にする必要がなくなりました!。他にすることも無い残念な人なのでボッチでもできるはてブを再開しみたいと思います。 アクセス解…