カミは死んだ

Paper Is Dead ... osz

SVA

SVA - アサーションFireさせてみた。

はじめに 今回はsimple-req-ackのアサーションに対して、これまでと異なるテストベクタをくべてその振る舞いの違いを見てみます。 なお、ソースコードはGitHubにあります。 このブログで扱うソースコードはできるだけGitHubにあげていきます。ライセンスなど…

SVA - アサーション実行してみる?

はじめに 前回のアサーション記事はプロパティの中身の話でした。今日はアサーションの実行まわりの話を書きます。 なお、ソースコードはGitHubにあります。 このブログで扱うソースコードはできるだけGitHubにあげていきます。ライセンスなどご確認頂いた上…

SVA - アサーション、なにそれおいしいの?

はじめに アクセス解析によると本ブログで1つしかないSVAの記事を引っ掛けてくれる方が多いようです。というわけで今日はアサーションネタいってみます。 こんにちはアサーション アサーションって導入できたチームとできないチームが極端に分かれそうな検証…

SVA - SystemVerilog Assertion 概要?

はじめに このエントリは結構前に書いたのですが内容が中途半端で意味不明だったので保留していたものです。が、ネタが無いので公開しておきます... 今更ですがSystemVerilogのスタディをコツコツはじめました。今回は、みんな大好きSVA(SystemVerilogAsser…