カミは死んだ

Paper Is Dead ... osz

2014-11-29から1日間の記事一覧

Programmatic Visio Generation - CellsSRC設定の関数化

はじめに 今回はCellsSRCの設定を関数化してみます。たいした内容ではありません。 予定していた、Visioファイル自動生成の目的、はまたの機会にします。 CellsSRCの設定おさらい マクロの記録から得たVBAコードを元にIronPythonコードを書いたいました。 ペ…