カミは死んだ

Paper Is Dead ... osz

2014-11-25から1日間の記事一覧

Programmatic Visio Generation - CellsSRCの引数を確認

はじめに IronPythonを用いたVisioファイル自動生成の3回目です。今回は設定したいプロパティとCellsSRCの引数との関係について書きます。 マクロの記録からリバースエンジニアリング これまで書いてきたIronPythonからVisioファイルを操作するコード記述は…